Verilog HDL

Note (1)



Support for each Verilog HDL construct is described with one of the following terms:

Term: Description:
Supported The Quartus® II software offers full support for the construct.
Not supported The construct cannot be used in a Verilog Design File (.v). If used, the construct causes an error when the Quartus II software compiles the file.

- PLDWorld -

 

Created by chm2web html help conversion utility.