module nor {
	input	i1 ;
	input	i2 ;
	output	o1 ;

	o1 = ^( i1 | i2 ) ;
	}