module seven_seg {
	input	in<4> ;
	output	out<7> ;
	tmp		cnv<7> ;

	par {
		any {
			in == 0x0 : cnv = 0b1111110 ;
			in == 0x1 : cnv = 0b1100000 ;
			in == 0x2 : cnv = 0b1011011 ;
			in == 0x3 : cnv = 0b1110011 ;
			in == 0x4 : cnv = 0b1100101 ;
			in == 0x5 : cnv = 0b0110111 ;
			in == 0x6 : cnv = 0b0111111 ;
			in == 0x7 : cnv = 0b1100010 ;
			in == 0x8 : cnv = 0b1111111 ;
			in == 0x9 : cnv = 0b1110111 ;
			in == 0xa : cnv = 0b1101111 ;
			in == 0xb : cnv = 0b0111101 ;
			in == 0xc : cnv = 0b0011001 ;
			in == 0xd : cnv = 0b1111001 ;
			in == 0xe : cnv = 0b0011111 ;
			in == 0xf : cnv = 0b0001111 ;
			}
		out = cnv ;
		}
	}