32-bit Barrel Shifter Example



A N-bit barrel shifter can shift left or right by N-1 bits. In general, barrel shifter can implement arithematic shifting, logical shifting and rotation functions. The input signals for a N-bit barrel shifter are given as following:


Final Results



VHDL model for 32-bit barrel shifter

Before you use this model, you need to download utilities & IEEE packages from MPL VHDL Model Collection . This model has been tested successful on Mentor Quick VHDL. You can compile the downloaded VHDL model and simulate it.

Click to download the VHDL model.


Any comments and problems? Please feel free to send mail to reese@erc.msstate.edu.