use work.traffic_package.all; entity traffic_light_controller is generic ( Long_Time : Time; -- Minimum green light duration Short_Time : Time -- yellow light duration ) ; port ( Car_On_Farmroad : in Boolean; Highway_Light : out Color; Farmroad_Light : out Color ) ; end traffic_light_controller;