EE201 Lab 4

VHDL pre-lab questions

To be submitted online using Mallard

 

 

  1. Indicate which of the following statements are correct.

 

  1. A behavioral model describes a circuit in terms of (indicates the correct statements – more than one statement can be correct):
  2. A structural model describes a circuit in terms of:
  3. Concurrency in VHDL means (indicate all the correct statements)
  4. Consider the following VHDL model

 

entity MYCRCT is

     port (A, B: in std_logic;

                 Y: out std_logic);

     end MYCRCT;

 

            architecture MODEL of MYCRCT is

component NOT1

                port (in1: in std_logic;

                      out1: out std_logic);

component AND2

                port (in1, in2: in std_logic;

                      out1: out std_logic);

           end component;

           component OR2

                port (in1, in2: in std_logic;

                      out1: out std_logic);

           end component;

signal int1, int2, int3, int4: std_logic;

     begin

gate0: NOT1 port map (A, int1);

           gate1: OR2  port map (int1, C, int2);

           gate2: AND2 port map (int1, B, int3);

           gate3: AND2 port map (int2, A, int4);

           gate4: OR2  port map (int3, int4, Y);

end MODEL;

 

  1. Does the VHDL file describe a behavioral or structural model?
  2. Give the logic expression of the circuit, as it is implemented by the above model. Your logic expression should reflect the actual circuit described by the VHDL code (i.e. do not simplify the expression). When entering the logic expression use the following rules: (explain here how to enter logic expressions in Mallard)
  3. Can you simplify the circuit? Is so, give the simplified sum of product expression

 

 

Back to Lab 4; Submit on Mallard.