Table of Contents Previous page Next page Index

ModelSim Documentation Bookcase

Model Technology Inc.


Saving and viewing waveforms in batch mode

You can run vsim as a batch job, but view the resulting waveforms later.

  1. When you invoke vsim the first time, use the -wlf option to rename the wave log format (WLF) file, and redirect stdin to invoke the batch mode. The command should look like this:
  2. 
    vsim -wlf wavesav1.wlf counter < command.do 
    
    

Within your command.do file, use the log command to save the waveforms you want to look at later, run the simulation, and quit.

When vsim runs in batch mode, it does not write to the screen, and can be run in the background.

  1. When you return to work the next day after running several batch jobs, you can start up vsim in its viewing mode with this command and the appropriate .wlf files:
  2. 
    vsim -view wavesav1.wlf 
    
    

Now you will be able to use the Waveform and List windows normally.


Model Technology Inc.
Model Technology Incorporated
Voice: (503) 641-1340
Fax: (503)526-5410
www.model.com
sales@model.com
Table of Contents Previous page Next page Index

ModelSim Documentation Bookcase