Table of Contents Previous page Next page Index

ModelSim Documentation Bookcase

Model Technology Inc.


Verilog PLI/VPI

The Verilog PLI (Programming Language Interface) and VPI (Verilog Procedural Interface) both provide a mechanism for defining system tasks and functions that communicate with the simulator through a C procedural interface. There are many third party applications available that interface to Verilog simulators through the PLI (see Third party PLI applications). In addition, you may write your own PLI/VPI applications.

ModelSim Verilog implements the PLI as defined in the IEEE Std 1364, with the exception of the acc_handle_datapath routine. We did not implement the acc_handle_datapath routine because the information it returns is more appropriate for a static timing analysis tool. In version 5.5e, the VPI is partially implemented as defined in the IEEE Std 1364. The list of currently supported functionality can be found in the following directory:

<install_dir>/modeltech/docs/technotes/Verilog_VPI.note. 

The IEEE Std 1364 is the reference that defines the usage of the PLI/VPI routines. This manual only describes details of using the PLI/VPI with ModelSim Verilog.


Model Technology Inc.
Model Technology Incorporated
Voice: (503) 641-1340
Fax: (503)526-5410
www.model.com
sales@model.com
Table of Contents Previous page Next page Index

ModelSim Documentation Bookcase