Verilog HDL Coding Examples

Verilog HDL is one of popular hardware description languages. There are coding examples written by VerilogHDL. They are available to use on Cadence Design Framework II in Tokyo Institute of Technology. They are already checked their performance by Logic Simulator "Verilog XL". However there may be several buggs which I cannot detect. Please send e-mail to "tootsuka@de.tokyo-ct.ac.jp" if you find buggs.


1. TTL Library

TTL Library.

Module NameAbstract.
ckgenclock generator
d-ffd flip flop ( 74LS74 )
ls1383 to 8 demultiplexer
ls139dual 2 to 4 demultiplexer
ls1518 to 1 data selector
ls153dual 4 to 1 data selector
ls163syncronous 4 bit counter
ls169syncronous up/down counter
ls175quad d-ffs
ls181arithmatic logic unit
ls1944 bits shift register
ls257quadruple 2 line to 1 line data selector/multiplexers
ls374octal 3 state d-ffs
ls3778 bit d-ffs
ls540octal 3 state buffer ( inverted )
ls541octal 3 state buffer
ls157quad 2 to 1 data selector
rsffset-reset flip flop
m611616kB SRAM
i276464kB ROM


2. Functional Components

Module NameAbstract.
cgclock generator
pcprogram counter (4 bit)
gr4general register (4 bit)
alualithmatic logic unit (4 bit)
multifiermultifier (integer 8bit)

return home page.