Table of Contents Previous page Next page Index

ModelSim Documentation Bookcase

Model Technology Inc.


vcd comment

The vcd comment command inserts the specified comment in the specified VCD file.

Syntax

vcd comment

<comment string> [<filename>]

Arguments

<comment string>

Comment to be included in the VCD file. Required. Must be quoted by double quotation marks or curly brackets.

<filename>

Specifies the name of the VCD file. Optional. If omitted the command is executed on the file designated by the vcd file command or "dump.vcd" if vcd file was not invoked.

See also

See Value Change Dump (VCD) Files chapter for more information on VCD files.


Model Technology Inc.
Model Technology Incorporated
Voice: (503) 641-1340
Fax: (503)526-5410
www.model.com
sales@model.com
Table of Contents Previous page Next page Index

ModelSim Documentation Bookcase