Table of Contents Previous page Next page Index

ModelSim Documentation Bookcase

Model Technology Inc.


vcd dumpports

The vcd dumpports command creates a VCD file that includes port driver data.

Related Verilog task: $dumpports

Syntax

vcd dumpports

[-direction] [-map <mapping pairs>] [-nomap] [-in] [-out] [-inout]
[
-internal] [-ports] [-file <filename>] <item_name>

Arguments

-direction

Affects only VHDL ports. Optional. It specifies that the variable type recorded in the VCD header for VHDL ports shall be one of the following:

in, out, inout, internal, ports (includes in, out, and inout); the default is all ports


Note: The -direction argument is obsolete in ModelSim versions 5.5c and later. It is supported for backwards compatibility only. See Resimulating a VHDL design from a VCD file for information regarding its use in earlier versions.

-map <mapping pairs>

Affects only VHDL signals of type std_logic. Optional. It allows you to override the default mappings. The mapping is specified as a list of character pairs. The first character in a pair must be one of the std_logic characters UX01ZWLH- and the second character is the character you wish to be recorded in the VCD file. For example, to map L and H to z:

vcd dumpports -map "L z H z" 

Note that the quotes in the example above are a Tcl convention for command strings that include spaces.

-nomap

Affects only VHDL signals of type std_logic. Optional. It specifies that the values recorded in the VCD file shall use the std_logic enumeration characters of UX01ZWLH. This option results in a non-standard VCD file because VCD values are limited to the four state character set of x01z. By default, the std_logic characters are mapped as follows.

VHDL
VCD
VHDL
VCD
U
x
W
x
X
x
L
0
0
0
H
1
1
1
-
x
Z
z


-in

Includes ports of mode IN. Optional.

-out

Includes ports of mode OUT. Optional.

-inout

Includes ports of mode INOUT. Optional.

-internal

Includes internal items. Optional.

-ports

Includes all ports of modes IN, OUT, or INOUT. Optional.

-file <filename>

Specifies the path and name of a VCD file to create. Optional. Defaults to the current working directory and the filename dumpports.vcd. Multiple filenames can be opened during a single simulation.

<item_name>

Specifies the Verilog or VHDL item to add to the VCD file. Required. Multiple items may be specified by separating names with spaces. Wildcards are accepted.

Examples

vcd dumpports -in -file counter.vcd /test_counter/dut/*

Creates a VCD file named counter.vcd of all IN ports in the region /test_counter/dut/.

vcd dumpports -file addern.vcd /testbench/uut/*
vsim -vcdstim addern.vcd addern -gn=8 -do "add wave /*; run 1000"

These two commands resimulate a design from a VCD file. See "Resimulating a design from a VCD file" for further details.

See also

See Value Change Dump (VCD) Files chapter for more information on VCD files.


Model Technology Inc.
Model Technology Incorporated
Voice: (503) 641-1340
Fax: (503)526-5410
www.model.com
sales@model.com
Table of Contents Previous page Next page Index

ModelSim Documentation Bookcase